Searched Projects

Tags: comparator

project.name
0 Stars     164 Views

Comparator Circuit

Comparator Circuit

project.name
1 Stars     126 Views
User:

ELT 146 Combination Lock

ELT 146 Combination Lock

project.name
0 Stars     75 Views

2-bit magnitude comparator(A=B)

2-bit magnitude comparator(A=B)

This is a 2-bit magnitude comparator for A=B.


project.name
0 Stars     64 Views

2-bit magnitude comparator(A<b></b>

2-bit magnitude comparator(A<b></b>

This is a 2-bit magnitude comparator for A<B.


project.name
0 Stars     74 Views

2Bit Comparator

2Bit Comparator

project.name
3 Stars     237 Views
User:

Binary Comparator [4-bit]

Binary Comparator [4-bit]

This is my binary comparator, that compares two 4-bit binary numers (A and B) and outputs whether A=B, A>B or A<B. 

I normaly like to build circuits in Minecraft, but for this "bigger" project I needed to use something quicker and easier.

Maybe this comes in handy for someone :-)


Inputs (on the left):

- Number A {A4, A3, A2, A1}

- Number B {B4, B3, B2, B1}

- chp_enb - chip enable ... When set to 1, enables the output


Outputs (on the right):

- A=B

- A>B

- A<B


project.name
0 Stars     82 Views
User:

4 - bit magnitude comparator

4 - bit magnitude comparator

project.name
1 Stars     313 Views
User:

8-Bit Magnitude Comparator

8-Bit Magnitude Comparator

project.name
0 Stars     161 Views
User:

PASSWORD COMPARATOR LOGIC MACHINE

PASSWORD COMPARATOR LOGIC MACHINE

This project includes an application of digital logic to a password comparing machine. For more informations or questions about that design project you write an email to the following address: [email protected]


project.name
0 Stars     806 Views
User:

4 Bit Magnitude Comparator Circuit

4 Bit Magnitude Comparator Circuit

4 Bit Comparator circuit

A - A3 A2 A1 A0    B - B3 B2 B1 B0

A = B when, A3=B3,A2=B2,A1=B1,A0=B0. 

A>B when, A3>B3 OR A3=B3 AND A2>B2 OR A3=B3 A2=B2 AND A1>B1 OR A3=B3 A2=B2 A1=B1 AND A0>B0.

A<B when, A3<B3 OR A3=B3 AND A2<B2 OR A3=B3 A2=B2 AND A1<B1 OR A3=B3 A2=B2 A1=B1 AND A0<B0.


project.name
0 Stars     52 Views
User:

1 Bit Digital Comparator ckt

1 Bit Digital Comparator ckt

In 1 Bit comparator ckt,

when A<B, C = A'B ; A>B, E = AB' ; and A=B , D= A xnor B


project.name
0 Stars     44 Views
User:

1bit comparator

1bit comparator

project.name
0 Stars     60 Views
User:

2bit magnitude comparator

2bit magnitude comparator

project.name
0 Stars     28 Views

2 bit comparator

2 bit comparator

project.name
0 Stars     22 Views
User:

2 bit comparator

2 bit comparator

project.name
0 Stars     13 Views
User:

project.name
2 Stars     78 Views

This is a Hexadecimal ALU with 6 status flags!

UF and OF are underflow and overflow respectivly 


project.name
0 Stars     39 Views
User:

Comparators

Comparators

Creating a 2-bit comparator using a 1-bit comparator.


project.name
1 Stars     58 Views

This is our project: a grading system that provides grades on the basis of marks entered by the user subject-wise. It provides grades subject-wise on a 5-point grading scale.


You can set your marks for any subject. You can set the grade range as per your choice. The circuit will output the grade. 

It will also output pass or fail on a 7-segment display based on the total marks obtained and the passing marks.


project.name
0 Stars     10 Views

comparator

comparator

project.name
0 Stars     5 Views
User:

4-Bits Comparator

4-Bits Comparator

project.name
0 Stars     26 Views
User:

Equality Comparison (8-bit)

Equality Comparison (8-bit)

project.name
0 Stars     1 Views

8-Bit Comparator (Logic Gates)

8-Bit Comparator (Logic Gates)

8 Bit comparator using only logic gates