JK flip-flop
0 Stars     206 Views    

Author: Aditya Pandey

Project access type: Public

Description:

In a RS flip-flop the input R=S=1 leads to an indeterminate output. The RS flip-flop circuit may be re-joined if both inputs are 1 than also the outputs are complement of each other as shown in characteristics table below.

Truth Table for JK flip-flop

Input

Output

Clk

J

K

Q

Q

0

X

X

Previous or Memory State

1

1

0

1

0

1

0

1

0

1

1

0

0

Previous or Memory State

1

1

1

Toggle State

Created: Jul 04, 2021

Updated: Aug 26, 2023


Comments

You must login before you can post a comment.