Searched Projects

Tags: Component

project.name
3 Stars     267 Views

Multiplier

Multiplier

A multiplier that operates with two 8 bits numbers.

Constructed with two 16 bits registers, one 8 bits register, a control unit and an adder.

  1. If the less significant bit of the multiplier is 1 then the multiplicand is added to the product, otherwise no addition is made.
  2. The multiplicand is shifted one bit to the left.
  3. The multiplier is shifted one bit to the right.

Note: Now it is capable to recieve a 16 bits input, but it can only make a succesfull operation with two 8 bits numbers.


project.name
2 Stars     124 Views

Universal Register

Universal Register

A 8 bits register that can do multiple operations

  • Shift the value to the right
  • Shift the value to the left
  • Work like a serial to parallel component
  • Work like a parallel to serial component

A demonstration of how to make it work with bigger values is included