xor gate
0 Stars     14 Views    

Author: Desiree Apillanes

Project access type: Public

Description:

The output is 1 if only if one input is 1 otherwise the output is 0

Created: Oct 03, 2021

Updated: Aug 26, 2023


Comments

You must login before you can post a comment.