8-Bit Memory Register
0 Stars     2 Views    

Author: radu constantinescu

Forked from: Explosive Eggshells/8-Bit Memory Register

Project access type: Public

Description:

This is a 8-bit (1 byte) Memory. When Cp is pulsed (press the button), the outputs will read in the data from the data-lines and store them, overwriting whatever was there previously.

Created: Mar 10, 2024

Updated: Mar 10, 2024


Comments

You must login before you can post a comment.