Verilog (Operador)
0 Stars     4 Views    

Author: Cristian Millan

Forked from: Ismael Pérez Cervantes/Verilog (Operador)

Project access type: Public

Description:

Escribe un módulo en Verilog que tome como entrada dos números de 8 bits. Utiliza una entrada adicional de 2 bits, que te permita seleccionar entre las 4 operaciones aritméticas básicas (suma, resta, multiplicación y división). Dependiendo de este selector muestra en la salida el resultado de la operación. Utiliza una salida de 10 bits; si el resultado de la multiplicación excede esta cantidad se trunca a 10 bits.

Created: Sep 07, 2023

Updated: Sep 07, 2023


Comments

You must login before you can post a comment.